Применение технологии разработки «систем на кристалле» на платформе ПЛИС

№ 4’2004
Развитие современных электронных систем управления, сбора и обработки данных, их постоянно растущие сложность и ресурсоемкое, а также необходимость увеличения темпов разработки требуют создания новых классов функционально сложных изделий микроэлектроники и внедрения принципиально новых подходов и технологических принципов разработки микроэлектронных устройств.<

Развитие современных электронных систем управления, сбора и обработки данных, их постоянно растущие сложность и ресурсоемкое, а также необходимость увеличения темпов разработки требуют создания новых классов функционально сложных изделий микроэлектроники и внедрения принципиально новых подходов и технологических принципов разработки микроэлектронных устройств.

В последние годы как в России, так и во всем мире усиливается тенденция ориентации разработчиков электронных систем для тяжелых условий эксплуатации не на полупроводниковые изделия универсального назначения, а на специализированные изделия с сокращенным циклом проектирования и производства, ориентированные на максимальную эффективность в конкретном приложении.

Сегодня для построения бортовых систем управления и сбора данных наиболее эффективна концепция «система на кристалле» (System On Chip, SoC), внедрение которой является одним из приоритетных направлений развития отечественной электроники.

В классическом понимании «система на кристалле» — это интегрированная система потоковой обработки данных, имеющая в своем составе конечный автомат управления, в качестве которого может выступать, например, микропрограммный автомат или микропроцессор и набор контроллеров, решающих вычислительные и интерфейсные задачи с помощью аппаратных средств. Сложившаяся традиция возлагать на центральный процессор общего назначения задачи обработки потоков данных не всегда технически оправдана, особенно в бортовых системах, где, как правило, остро стоит проблема производительности, габаритов, энергопотребления (рис. 1).

Рис. 1. Традиционный подход к построению электронной аппаратуры
Рис. 1. Традиционный подход к построению электронной аппаратуры

Принципиальные особенности подхода «система на кристалле» заключаются в:

  • интеграции на одну микросхему технологической платформы (как правило, сверхвысокой степени интеграции) функционально законченного набора модулей управления и обработки данных;
  • организации потока данных внутри системы непосредственно между контроллерами, а не через микропроцессорную шину;
  • ориентации встроенного микропроцессора преимущественно на задачи управления (рис. 2).

Идея интеграции различных функциональных модулей в один кристалл сама по себе не нова, однако неверно полагать, что интеграция есть прямой перенос принципиальной схемы устройства на новую технологическую платформу, замена внешних элементов на идентичные элементы в базисе интегральной схемы с сохранением структуры их связей. Такой способ интеграции при кажущейся простоте несет в себе большую опасность для разработчика. Особенности интегрального логического элемента и способа соединения элементов внутри кристалла сами по себе не являются препятствием для проектирования в стиле «sdiematic entry» (ввод схемы). Значительные трудности начинают возникать при росте размеров проекта. С одной стороны, это трудности, связанные с анализом функциональности и поведения схемы значительных размеров даже ее авторами и, следовательно, повышенной вероятностью ошибок дизайна вплоть до полной неработоспособности. С другой стороны, это проблема эффективности реализации схемы в выбранном технологическом базисе. Альтернатива вводу схем — проектирование на языках описания оборудования (Hardware description language), самыми распространенными из которых на сегодняшний день являются VHDL иVerilog. Актуальный на сегодняшний день маршрут проектирования интегральных систем (рис. 3) включает в себя три основных этапа: ввод проекта, синтез проекта в выбранном базисе и, наконец, размещение на кристалле (placement and routing). Современные средства синтеза логических схем от лидеров рынка, таких, как, например, Mentor Graphics, Cadence, Synplicity, позволяют эффективно синтезировать поведенческие описания электронных устройств, и соревнование человека с машиной в этой области в большинстве случаев бессмысленно.

Рис. 2. Концепция построения аппаратурыпри использовании подхода «система на кристалле»
Рис. 2. Концепция построения аппаратуры при использовании подхода «система на кристалле»
Рис. 3. Современный маршрут проектирования интегральных схем
Рис. 3. Современный маршрут проектирования интегральных схем

Возможности, предоставляемые HDL-язы-ками, существенно расширяют творческий простор для разработчика электроники: описание сложных алгоритмов обработки данных, машин состояний, интерфейсных схем и других элементов интегральных систем на доступном восприятию алгоритмическом языке значительно ускоряет труд инженера, избавляя его от рутинной работы и в значительной степени страхуя от ошибок.

Вернемся к вопросу интеграции «систем на кристалле». Инструментарий разработчика позволяет отказаться от простого копирования принципиальных схем и перейти к описанию решения задачи на языке высокого уровня. Разумеется, каждый раз описывать решение задачи в целом «с нуля» не более эффективно с точки зрения трудозатрат, чем писать крупные программы для ЭВМ исключительно на ассемблере. Использование reusable intellectual property (многократно используемых функционально сложных модулей) позволяет существенно сократить трудозатраты на разработку интегральных систем. Отечественные разработчики электроники в подавляющем большинстве случаев не готовы приобретать IP профессионального качества на мировом рынке в виду их высокой стоимости. Отечественных компаний, предлагающих IP, пока также крайне мало, однако понимание необходимости создания отечественных библиотек функционально сложных модулей отражено в «Основах политики Российской Федерации в области развития электронной компонентной базы» и сегодня ряд организаций работает над их созданием. Построение интегральной системы из модулей IP: модуля процессора (одного или нескольких) и набора интерфейсных модулей, объединенных on-chip microcontroller bus (OCM) — внутрикристальной шиной, по трудозатратам существенно легче, чем разработка специализированной схемы — как в силу сокращения времени на ввод проекта, так и за счет ускорения верификации.

Комплексная верификация интегральных систем — наиболее трудоемкая составляющая любого проекта. Классический маршрут проектирования предполагает верификацию дизайна с помощью средств симуляции после каждого из основных этапов проектирования: верификация до синтеза, верификация после синтеза и верификация после размещения на кристалле. Распространенные средства верификации ModelSim (Mentor Graphics) и NCSIM (Cadence) позволяют исследовать работу проектов в искусственно созданном тестовом окружении (testbench) с очень высокой точностью как до синтеза, так и после, если, разумеется, технологическая платформа обеспечивает стабильность временных параметров элементов и связей на кристалле. Использование предварительно тестированной IP позволяет снизить общие затраты времени на верификацию. Создание тестовых окружений нередко становится сложнее, чем собственно дизайн проекта, и не всегда средств HDL-языков достаточно для описания картины «реального мира», в котором будет работать тестируемый узел. В таких случаях на помощь приходит технология programming language interface (PLI), дающая возможность внедрять в среду симуляции элементы, поведение которых описывается на языке высокого уровня типа С или С++. Однако и на этом проблемы комплексной верификации не исчерпываются: появление в интегральных системах встроенных микропроцессорных ядер ставит задачу программно-аппаратной ко-верификации: совместной отладки программного обеспечения встроенного микропроцессора и аппаратной реализации как остальных контроллеров, так и его самого. В настоящий момент уже существуют программные продукты для решения данной задачи, например, Seamless (Mentor Graphics), но ее значительная сложность требует по возможности упрощения программного обеспечения встроенных микропроцессоров.

Любую ли интегральную систему, включающую в себя микропроцессорное ядро, можно назвать «системой на кристалле»? Нет. Попытка решать задачи обработки потоков данных с помощью вычислительной мощности центрального процессора является завуалированным возвращением к подходу, применявшемуся в системах предыдущего поколения, неэффективному по соображениям производительности, энергозатрат, а также трудоемкости разработки и отладки программного обеспечения. Оптимальная для широкого класса приложений «система на кристалле» должна ориентироваться на обработку данных вне процессора, роль которого в системе сводится к управлению потоками данных и выполнению алгоритма работы системы в целом. Это приводит к резкому снижению нагрузки на центральный процессор и упрощению программного обеспечения за счет переноса всех скоростных операций в периферийные контроллеры. Подобный подход требует более внимательного отношения к спецификации проекта и более высокой квалификации инженеров-разработчиков, но дает колоссальный выигрыш в качестве конечного результата: снижение энергопотребления, рост производительности и надежности.

К очевидным достоинствам «систем на кристалле» можно отнести максимальную эффективность в решении прикладной задачи, обусловленную оптимизацией внутренней структуры. Кроме того, отсутствие в грамотно построенной «системе на кристалле» избыточности, присущей системам, построенным из универсальных компонентов, позволяет комплексно минимизировать затраты энергии, повысить надежность устройства за счет замены потенциально ненадежных связей между его узлами (печатные проводники, разъемы, паяные соединения) на внутрикрис-талльные и в конечном итоге значительно уменьшить габариты изделия в целом.

К недостаткам подхода нередко относят высокие затраты на разработку и изготовление заказных СБИС и экономическую неэффективность при малой серийности. Так ли это? Действительно, проектирование и изготовление микросхем высокой интеграции — сложный и дорогостоящий процесс. Только стоимость подготовки к производству каждого нового варианта изделия влечет за собой расходы во многие десятки и даже сотни тысяч долларов, что делает малосерийные изделия экономически невыгодными и существенно повышает цену ошибки проектирования. Благодаря современным достижениям микроэлектроники появилась экономически эффективная альтернатива СБИС в качестве технологической платформы для «системы на кристалле» — программируемые логические интегральные схемы (ПЛИС). ПЛИС известны уже много десятилетий, но только в начале XXI века появились программируемые матрицы, способные составить конкуренцию СБИС как по количеству вентилей, быстродействию и надежности, так и по функциональности: сегодня на рынке доступны матрицы, не требующие внешних средств для хранения и загрузки конфигурации, готовые к работе с момента подачи питания.

Рис. 4. Преимущества реализации «систем на кристалле» на основе ПЛИС
Рис. 4. Преимущества реализации «систем на кристалле» на основе ПЛИС

Применение современных ПЛИС в качестве технологической платформы для «систем на кристалле» позволяет обойти ряд технических и экономических проблем и, более того, дает новые возможности для развития данного класса интегральных систем. «Система на кристалле», реализованная на высоконадежной платформе программируемой логики, обладает всеми достоинствами решения на основе СБИС и, кроме того, обладает неоспоримыми преимуществами (рис. 4):

  • резко снижаются расходы на изготовление микросхем и повышается экономическая эффективность проектов малой и средней серийности (до десятков тысяч штук);
  • резко снижается параметр «time to market» — скорость вывода новых изделий на рынок;
  • система может быть гибко сконфигурирована под текущие нужды конкретного проекта, упрощается модификация;
  • растет надежность изделия, поскольку 100-процентное тестирование регулярной структуры платформы может быть обеспечено производителем;
  • появляются дополнительные возможности внутрикристальной отладки;
  • появляется возможность прототипирова-ния изделий для особых условий эксплуатации на основе функционально идентичных, но более дешевых коммерческих исполнений платформы.

Не все продукты на рынке ПЛИС в полной мере обеспечивают реализацию упомянутых выше возможностей, однако есть как минимум две уникальные технологии ПЛИС, которые открывают инженерам новые возможности по реализации высокоэффективных «систем на кристалле».

Производителем наиболее надежной на сегодня платформы программируемой логики для тяжелых условий эксплуатации, в том числе для авиационно-космических применений, и мировым лидером в области новых технологий ПЛИС является Actel Corporation (www.actel.ru). Компания предлагает рынку 3 основных группы ПЛИС: микросхемы на основе технологий Flash (многократно программируемые) и Antifuse (однократно программируемые) в исполнениях commercial, industrial, military, a также не имеющие аналогов в мире радиационно-стойкие ПЛИС технологии Antifuse. ПЛИС Actel — это идеальная платформа для построения «систем на кристалле», что обусловлено их уникальной архитектурой, а также высокими надежностными показателями.

Достоинствами новых семейств однократно программируемых ПЛИС Actel технологии Antifuse являются:

  • объем до 2 миллионов вентилей;
  • малое энергопотребление (см. табл.1);
  • рекордная системная производительность — свыше 500 МГц для семейства AX;
  • готовность к работе с момента подачи питания — отсутствует процесс загрузки конфигурации;
  • высокая защищенность от воздействия высокоэнергетических частиц и радиационная стойкость — накопленная доза свыше 300 крад и защита от воздействия высоко-энертегических частиц свыше 60 МэВ/см2;
  • рекордная надежность среди ПЛИС — FIT 10 (количество отказов на 109 часов наработки);
  • исключена возможность несанкционированного считывания конфигурации — конфигурация защищается технологией FuseLock, нет передачи данных при запуске;
  • возможность доступа специализированным логическим анализатором к любому элементу работающей схемы без затрат трассировочных ресурсов самой ПЛИС;
  • богатый выбор поддерживаемых стандартов ввода-вывода — LVDS, HSTL1, SSTL2/3, GTL+, LVTTL, LVCMOS, LVPECL;
  • высокая экономическая эффективность [1].
Таблица. Энергопотребление ПЛИС
Таблица. Энергопотребление ПЛИС

ПЛИС Actel Antifuse объединяют в себе преимущества традиционной программируемой логики и базовых матричных кристаллов (БМК) и дают потребителю возможность производить БМК непосредственно у себя на столе.

К достоинствам Flash-ПЛИС Actel, кроме вышеприведенных, следует отнести также возможность многократного программирования, как до монтажа, так и в целевой системе (возможность внутрисистемного программирования ISP).

Все ПЛИС Actel, в отличие от традиционных матриц на основе ячеек SRAM, имеют элементы памяти (перемычки для Antifuse и Flash-ключи для Flash), распределенные по всей площади кристалла и являющиеся одновременно ключами, задающими конфигурацию. Такое технологическое решение позволяет избавиться как от потенциально ненадежной коммутационной матрицы на основе ячеек SRAM, не защищенных от воздействия высокоэнергетических частиц, так и от всех элементов, участвующих в процессе загрузки конфигурации.

В настоящее время Actel работает над новым поколением многократно программируемых матриц объемом до 3 миллионов системных вентилей, которые будут включать в себя также Flash-память для хранения программ или данных для встроенных в «систему на кристалле» микропроцессоров. К сожалению, отечественного аналога ПЛИС технологии Flash и Antifuse сегодня нет.

На сегодняшний день в России существует немало успешных примеров разработок «систем на кристалле» на основе новых поколений высокоинтегрированных ПЛИС Actel. В частности, успешно разрабатывает бортовые регистраторы высокоскоростных данных на основе платформы Actel ProASICplus петербургская компания СКБ Интегральных Систем ASICdesign (www.asicdesign.ru), которая имеет статус официального технического центра Actel в России.

Среди разработок СКБ — комплекс программно-аппаратных решений СнК186, на основе которого изготавливаются изделия для бортовых систем управления и сбора данных. Библиотека функционально сложных модулей СнК186 ориентирована на реализацию в ПЛИС Actel и включает в себя процессорное ядро Turbo186, контроллеры IDE и USB, ряд вычислительных модулей, а также потоковый компрессор данных. Комплекс СнК186 уже успешно применяется в ряде промышленных приложений, а также идет работа над авиационно-космическими приложениями.

Очевидно, что дальнейшее развитие отечественной электроники невозможно без внедрения новых прогрессивных технологических решений. Одним из таких решений является технология «система на кристалле». Применение технологии «система на кристалле» на основе ПЛИС позволяет выйти на новый уровень инженерных решений и может способствовать быстрому росту качества и функциональности продукции российского приборостроения.

Литература

  1. Попович А. Экономическая эффективность ПЛИС Actel // Компоненты и технологии. 2004. № 3.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *