Измеритель частоты цифровых сигналов, выполненный на основе микропроцессорного ядра семейства PicoBlaze и реализуемый на базе инструментального комплекта Spartan-3E Starter Kit фирмы Xilinx

№ 12’2007
PDF версия
Предлагаемая вниманию читателей статья продолжает серию публикаций, начатую в журнале «Компоненты и технологии». Она знакомит с проектами встраиваемых микропроцессорных систем различного назначения, выполненными на основе конфигурируемых микропроцессорных ядер фирмы Xilinx семейств PicoBlaze и MicroBlaze. В настоящей статье рассматривается проект измерителя частоты цифровых сигналов, в структуру которого входит 8-разрядное микропроцессорное ядро семейства PicoBlaze, предназначенное для применения в кристаллах ПЛИС серий Spartan-3, Spartan-3E, Virtex-II, Virtex-IIPRO и Virtex-4. Этот проект наглядно демонстрирует возможность использования конфигурируемых ядер указанного семейства при разработке измерительных систем. Разработчики могут использовать рассматриваемое устройство или его отдельные модули исходного описания в процессе проектирования собственных систем и комплексов. Для аппаратной реализации проекта измерителя частоты цифровых сигналов используется инструментальный модуль Xilinx Spartan-3E Starter Board, входящий в состав стартового комплекта Spartan-3E Starter Kit, который уже был представлен в журнале «Компоненты и технологии».

Предлагаемая вниманию читателей статья продолжает серию публикаций, начатую в [1]. Она знакомит с проектами встраиваемых микропроцессорных систем различного назначения, выполненными на основе конфигурируемых микропроцессорных ядер фирмы Xilinx® семейств PicoBlaze™ [2–7] и MicroBlaze™ [2, 8–10]. В настоящей статье рассматривается проект измерителя частоты цифровых сигналов, в структуру которого входит 8(разрядное микропроцессорное ядро семейства PicoBlaze, предназначенное для применения в кристаллах ПЛИС серий Spartan-3, Spartan-3E, Virtex-II, Virtex-IIPRO и Virtex-4. Этот проект наглядно демонстрирует возможность использования конфигурируемых ядер указанного семейства при разработке измерительных систем. Разработчики могут использовать рассматриваемое устройство или его отдельные модули исходного описания в процессе проектирования собственных систем и комплексов. Для аппаратной реализации проекта измерителя частоты цифровых сигналов используется инструментальный модуль Xilinx Spartan-3E Starter Board™, входящий в состав стартового комплекта Spartan-3E Starter Kit, который был представлен в [11].

Структура архива проекта измерителя частоты цифровых сигналов, предназначенного для реализации на базе инструментального комплекта Spartan-3E Starter Kit

Все модули исходного описания проекта измерителя частоты цифровых сигналов, а также файлы, необходимые для его загрузки в кристалл, упакованы в архив s3esk_frequency_counter.zip, который можно свободно скопировать, открыв Web-страницу http://www.xilinx.com/products/boards/s3estarter/reference_designs.htm. В состав этого архива входят следующие файлы:

  • модуль исходного описания верхнего уровня иерархии проекта аппаратной части устройства на языке VHDL frequency_counter.vhd;
  • файл исходного описания генератора тестового сигнала с частотой ~145 МГц на языке VHDL dcm_fixed_osc.vhd;
  • модуль исходного описания генератора тестового сигнала с частотой 118 МГц на языке VHDL ring_osc.vhd;
  • файл временных и топологических ограничений проекта измерителя частоты цифровых сигналов frequency_counter.ucf, соответствующий схеме включения ПЛИС XC3S500E в составе инструментального модуля Xilinx Spartan-3E Starter Board;
  • исходный текст микропроцессорной программы и процедуры обработки прерывания на языке ассемблера fc_ctrl.psm;
  • файл описания содержимого программной памяти измерителя частоты цифровых сигналов на языке VHDL fc_ctrl.vhd, полученный в результате трансляции исходного текста микропроцессорной программы и процедуры обработки прерывания на языке ассемблера;
  • файл конфигурационной последовательности frequency_counter.bit, реализующей измеритель частоты цифровых сигналов, предназначенный для непосредственной загрузки в кристалл;
  • командный файл install_frequency_counter.bat, используемый для непосредственной загрузки конфигурационной последовательности измерителя частоты цифровых сигналов в ПЛИС XC3S500E инструментального модуля Xilinx Spartan-3E Starter Board , выполняемой автоматически в пакетном режиме;
  • файл документации frequency_counter_v100.pdf, содержащий описание проекта измерителя частоты цифровых сигналов, выполненное в формате PDF.

В архив проекта не включен файл, содержащий исходное VHDL-описание исполнительного модуля микропроцессорного ядра семейства PicoBlaze. Поэтому при внесении изменений в модули исходного описания измерителя частоты цифровых сигналов или создании новых проектов, выполняемых на основе рассматриваемого устройства, для выполнения процессов синтеза, размещения и трассировки проекта в кристалле следует добавить в состав этого проекта файл kcpsm3.vhd. Этот файл входит в состав архива исходных модулей описания микропроцессорного ядра PicoBlaze KCPSM3.zip, которое предназначено для применения в проектах, реализуемых на основе ПЛИС семейств Spartan-3, Spartan-3E,Virtex-II, Virtex-IIPRO и Virtex-4 [7]. Для получения данного архива необходимо вначале выполнить процедуру бесплатной регистрации на Web-сервере фирмы Xilinx (http://www.xilinx.com/), в ходе которой пользователю присваивается введенный им идентификационный код (UserID) и пароль (Password). Затем следует открыть Web-страницу http://www.xilinx.com/ipcenter/processor_central/picoblaze/index.htm и, указав параметры идентификации пользователя, скопировать файл архива, соответствующий указанной версии микропроцессорного ядра PicoBlaze.

Принцип работы и функциональная схема измерителя частоты цифровых сигналов, реализуемого на базе инструментального модуля Xilinx Spartan-3E Starter Board

Функционирование рассматриваемого измерителя частоты цифровых сигналов основано на вычислении количества периодов изменения исследуемого сигнала за определенный промежуток времени. В качестве интервала измерения выбран промежуток времени, длительность которого составляет 1 с. Номинальный диапазон измерения частоты входного сигнала составляет от 1 Гц до 200МГц. Верхняя граница этого диапазона определяется максимально допустимым значением частоты сигналов проекта, реализуемого на базе выбранной ПЛИС семейства Spartan-3Е.

Структурная схема измерителя частоты цифровых сигналов представлена на рис. 1. В состав аппаратной платформы рассматриваемого устройства входят следующие блоки:

Структурная схема измерителя частоты цифровых сигналов, реализуемого на базе инструментального модуля Xilinx Spartan-3E Starter Board
Рис. 1. Структурная схема измерителя частоты цифровых сигналов, реализуемого на базе инструментального модуля Xilinx Spartan-3E Starter Board
  • селектор входов;
  • блок формирования импульсов длительностью 1 с;
  • блок коммутации измерительных каналов;
  • двухканальный блок измерения частоты;
  • блок формирования сигнала прерывания;
  • генератор тестового сигнала с частотой 145 МГц;
  • генератор тестового сигнала с частотой 118 МГц;
  • устройство управления;
  • микропроцессорный блок;
  • жидкокристаллический дисплей;
  • блок светодиодных индикаторов.

Селектор входов предназначен для выбора одного из четырех источников измеряемого сигнала: генератора тестового сигнала с частотой 145 МГц, генератора тестового сигнала с частотой 118 МГц, внешнего кварцевого генератора тактового сигнала с частотой 50 МГц или сигнала, поступающего через высокочастотный разъем типа SMA. Управление работой селектора входов осуществляется с помощью сигналов, которые формируются в микропроцессорном блоке на основании анализа данных, считываемых из устройства управления.

Более детальная функциональная схема, поясняющая структуру входных и измерительных узлов цифрового частотомера, реализуемого на базе инструментального модуля Xilinx Spartan-3E Starter Board, приведена на рис. 2. Блок формирования импульсов длительностью 1 с выполнен на основе 26-разрядного счетчика, на тактовый вход которого подается основной сигнал синхронизации с частотой 50 МГц. В качестве источника основного внешнего (по отношению к ПЛИС) сигнала синхронизации используется кварцевый генератор с той же частотой, установленный на отладочной плате Xilinx Spartan-3E Starter Board. Формируемые импульсы длительностью 1 с определяют интервал измерения частоты.

Функциональная схема входных и измерительных узлов цифрового частотомера, реализуемого на базе инструментального модуля Xilinx Spartan-3E Starter Board
Рис. 2. Функциональная схема входных и измерительных узлов цифрового частотомера, реализуемого на базе инструментального модуля Xilinx Spartan-3E Starter Board

Блок измерения частоты включает в себя два идентичных, поочередно переключаемых канала. Такое решение обеспечивает непрерывность измерительного процесса. В то время когда один канал работает в режиме измерения частоты, в другом канале производится считывание результатов измерения, полученных на предыдущем интервале. Каждый канал блока измерения частоты представляет собой 32-разрядный счетчик. Управление режимами работы этих счетчиков осуществляется с помощью сигналов, вырабатываемых блоком коммутации измерительных каналов (рис. 2). Разрядность счетчиков выбрана в соответствии с верхней границей диапазона измерения частоты входного сигнала.

Устройство управления предназначено для выбора одного из четырех входов измерителя частоты цифровых сигналов и переключения режимов его работы. Основными элементами этого устройства являются четыре ползунковых переключателя, которые входят в состав инструментального модуля Xilinx Spartan-3E Starter Board. Когда все переключатели находятся в выключенном состоянии или более одного переключателя установлено в положение «Включено», измеритель частоты функционирует в режиме ожидания. Выбор требуемого входа измерителя осуществляется установкой только одного (соответствующего) переключателя в положение «Включено». При этом автоматически включается режим измерения частоты сигнала, поступающего на выбранный вход.

Микропроцессорный блок измерителя частоты цифровых сигналов предназначен для выполнения следующих функций:

  • декодирование сигналов, поступающих от устройства управления;
  • вычисление значения частоты измеряемого сигнала;
  • формирование совокупности информационных и управляющих сигналов, соответствующих интерфейсу жидкокристаллического дисплея, которые необходимы для отображения текстовой информации и результатов измерения частоты;
  • управление работой блока светодиодных индикаторов.

Функциональная схема микропроцессорного блока измерителя частоты цифровых сигналов показана на рис. 3. Основными элементами этой схемы являются:

Функциональная схема микропроцессорного блока измерителя частоты цифровых сигналов
Рис. 3. Функциональная схема микропроцессорного блока измерителя частоты цифровых сигналов
  • исполнительный модуль микропроцессорного ядра семейства PicoBlaze, предназначенного для реализации на базе ПЛИС серий Spartan-3, Spartan-3E, Virtex-II, Virtex-IIPRO и Virtex-4;
  • память микропрограмм;
  • входные и выходные порты ввода/вывода.

Блок формирования сигнала прерывания представляет собой схему задержки, выполненную на базе сдвиговых регистров SRL16E ПЛИС семейства Spartan-3E. На вход этой схемы задержки поступают импульсы длительностью 1 с.

Блок светодиодных индикаторов используется для визуального контроля текущего режима работы измерителя частоты цифровых сигналов. В его состав входят восемь индикаторов, установленных на плате инструментального модуля Xilinx Spartan-3E Starter Board. В режиме измерений происходит периодическое переключение состояния светодиодных индикаторов.

Жидкокристаллический дисплей предназначен для отображения информации о входных сигналах рассматриваемого частотомера и значений частоты измеряемого сигнала. В режиме ожидания ЖК-дисплей содержит меню выбора входов. При этом в верхней строке дисплея отображаются условные обозначения входных сигналов измерителя частоты, а в нижней — номера соответствующих переключателей устройства управления. В режиме измерения в верхней строке выводится значение частоты сигнала, поступающего с выбранного входа, а в нижней — условное обозначение этого входа.

Генератор тестового сигнала с частотой 145 МГц выполнен на основе цифрового модуля управления синхронизацией Digital Clock Manager (DCM) ПЛИС семейства Spartan-3E. Для реализации генератора тестового сигнала с частотой 118 МГц применяется кольцевая схема, которая будет представлена при рассмотрении VHDL-описания этого блока.

Для расширения возможностей рассматриваемого измерителя частоты цифровых сигналов в состав проекта можно включить модуль универсального асинхронного приемопередатчика UART (Universal Asynchronous Receiver-Transmitter), который входит в архив исходных файлов описания микропроцессорного ядра PicoBlaze KCPSM3.zip. Этот модуль можно использовать для передачи результатов измерений через последовательный порт (COM-порт) в персональный компьютер.

В последующих разделах рассматриваются описания основных функциональных блоков измерителя частоты цифровых сигналов на языке VHDL, которые реализуются на основе ресурсов ПЛИС семейства Spartan-3E.

VHDL-описание основных блоков аппаратной части измерителя частоты цифровых сигналов

Описание аппаратной части измерителя частоты цифровых сигналов, выполненное на языке VHDL, имеет иерархическую структуру. В исходном модуле верхнего уровня иерархии проекта описывается объект frequency_counter, который представляет все устройство в целом. В состав описания этого объекта в качестве компонентов входят объекты нижнего уровня иерархии dcm_fixed_osc и ring_osc, представляющие генераторы тестовых сигналов. В настоящем разделе рассматривается описание объекта frequency_counter, структура которого соответствует типовому строению исходного модуля, выполненного с использованием языка VHDL [12–14]. Описания объектов dcm_fixed_osc и ring_osc приводятся в последующих разделах.

Первая часть VHDL-описания объекта frequency_counter содержит ссылки на используемые стандартные логические библиотеки и пакеты этих библиотек. Кроме того, в этой части есть ссылка на используемую библиотеку Unisim Library фирмы Xilinx и пакет vcomponents этой библиотеки, в которых определены примитивы, применяемые в качестве компонентов в описании объекта frequency_counter. Текст первой части VHDL-описания верхнего уровня иерархии проекта выглядит следующим образом:

Во второй части объявляется объект frequency_counter, представляющий измеритель частоты цифровых сигналов, и описывается его внешний интерфейс. VHDL-код, содержащийся в этой части описания, имеет следующий вид:

В представленной конструкции применяется следующая система условных обозначений входных, выходных и двунаправленных портов объекта frequency_counter. Векторы led и sw представляют соответственно выходы, предназначенные для сопряжения с блоком светодиодных индикаторов, и входы, к которым подключаются ползунковые переключатели устройства управления. Идентификаторы strataf lash_oe, strataf lash_ce и strataflash_we описывают выходы сигналов управления интерфейса параллельной Flash-памяти серии StrataFlash® Memory фирмы Intel®, которая расположена на плате инструментального модуля Xilinx Spartan-3E Starter Board. Идентификаторы clk_50mhz и sma_clk соответствуют входу внешнего тактового сигнала с частотой 50 МГц и входу измеряемого сигнала, сопряженному с высокочастотным разъемом типа SMA. Вектор lcd_d представляет двунаправленную шину данных интерфейса ЖК-дисплея, а идентификаторы lcd_rs, lcd_rw, lcd_e — сигналы управления этого интерфейса.

Третья часть VHDL-описания верхнего уровня иерархии проекта измерителя частоты содержит определение архитектуры объекта frequency_counter. В начале описания архитектурного тела представлены выражения декларации компонентов исполнительного модуля микропроцессорного ядра kcpsm3, программной памяти fc_ctrl и двух генераторов тестовых сигналов dcm_fixed_osc и ring_osc. Содержание блока декларации компонентов, которые используются в составе VHDL-описания объекта frequency_counter, выглядит следующим образом:

Следующий блок VHDL-кода содержит выражения декларации внутренних сигналов, которые используются в описании архитектуры измерителя частоты. В начале этого блока приведены объявления сигналов, которые относятся к селектору входов рассматриваемого измерителя частоты. Далее следует совокупность выражений, которые предназначены для декларации сигналов, управляющих процессом измерения частоты. Затем осуществляется декларация сигналов, используемых в схеме формирования импульсов длительностью 1 с. После этого приведена группа выражений, которые содержат объявления сигналов, используемых для сопряжения исполнительного модуля микропроцессорного ядра PicoBlaze с программной памятью и портами ввода/вывода. Заключительную часть блока декларации внутренних сигналов образует последовательность выражений, содержащих объявления сигналов, которые описывают интерфейс управления ЖК-дисплея. Текст блока декларации внутренних сигналов в описании измерителя частоты выглядит следующим образом:

Вслед за блоком декларации внутренних сигналов, после ключевого слова begin, начинается собственно определение архитектуры рассматриваемого измерителя частоты цифровых сигналов. В самом начале этого описания представлена группа операторов, которые определяют состояния управляющих сигналов интерфейса параллельной Flash-памяти серии StrataFlash Memory фирмы Intel, установленной на плате инструментального модуля Xilinx Spartan-3E Starter Board. Данная Flash-память, не задействованная в измерителе частоты цифровых сигналов, и ЖК-дисплей, входящий в состав рассматриваемого устройства, используют общие проводники шины данных для сопряжения с ПЛИС XC3S500E. Во избежание конфликтов сигналов, поступающих на эти общие линии шины данных от различных источников, информационные входы/выходы микросхемы Flash-памяти, не используемой в данном проекте, переводятся в состояние «Выключено». Для этого на управляющие входы указанной микросхемы подаются соответствующие значения сигналов, которые переключают входы/выходы данных Flash-памяти в «третье» состояние (состояние высокого импеданса):

Далее следует совокупность выражений, описывающих структуру входного узла измерителя частоты цифровых сигналов. В эту совокупность входят операторы, используемые для создания экземпляров компонентов генераторов тестового сигнала различного типа dcm_fixed_osc и ring_osc, а также глобального буферного элемента BUFG. Описание селектора входов выполнено с помощью параллельного оператора условного присваивания значения сигнала:

После выражений, определяющих структуру входного узла рассматриваемого устройства, представлена группа операторов, образующих поведенческое описание измерительного узла. В состав этого блока VHDL-кода входят три оператора процесса. С помощью процесса counter_switch_control описываются состояния управляющих сигналов, используемых для организации измерения частоты входных сигналов. Процессы test_counter_a и test_counter_b представляют собой поведенческое описание двух 32-разрядных счетчиков, используемых в соответствующих каналах измерительного узла:

В следующем блоке VHDL-кода измерителя частоты цифровых сигналов представлено описание схемы, формирующей импульсы длительностью 1 с. В этот же блок входят операторы, определяющие состояние сигналов управления прерываниями:

Далее следуют операторы, с помощью которых создаются экземпляры компонентов исполнительного модуля микропроцессорного ядра kcpsm3 и программной памяти fc_ctrl:

Для описания состояния входных и выходных портов микропроцессорного блока используется следующая последовательность операторов:

Завершают описание архитектуры рассматриваемого измерителя частоты цифровых сигналов следующие операторы, определяющие значения сигналов интерфейса управления ЖК-дисплеем:

VHDL-описание генератора тестового сигнала с частотой 145 МГц, используемого в составе измерителя частоты цифровых сигналов

В составе VHDL-описания генератора тестового сигнала с частотой 145 МГц применяется компонент DCM_SPAR3_TEST, который представляет соответствующий вариант конфигурации цифрового модуля управления синхронизацией DCM в ПЛИС семейства Spartan-3E. Чтобы выполнить синтез, размещение и трассировку проекта, содержащего данный компонент, необходимо перед запуском средств проектирования серии Xilinx® ISE™ (Integrated Synthesis Environment/Integrated Software Environment) создать переменную окружения XIL_TEST_ARCS и присвоить ей единичное значение. Для этого в меню, которое открывается нажатием кнопки Пуск (Start) операционной системы Windows™, нужно выделить пункт Мой компьютер (My computer), после чего щелкнуть на нем правой кнопкой мыши. Затем в появившемся на экране контекстно-зависимом всплывающем меню нужно выбрать команду Свойства (Properties). В результате выполнения этой команды на экран выводится диалоговая панель с заголовком Свойства системы (System Properties). В этой диалоговой панели следует открыть страницу Дополнительно (Advanced), вид которой представлен на рис. 4.

Вид страницы Дополнительно (Advanced) диалоговой панели Свойства системы (System Properties)
Рис. 4. Вид страницы Дополнительно (Advanced) диалоговой панели Свойства системы (System Properties)

Далее на указанной странице нужно нажать кнопку Переменные среды (Environment variables), после чего открывается одноименная диалоговая панель, показанная на рис. 5. Эта диалоговая панель предоставляет пользователю возможность создания, редактирования и удаления переменных окружения.

Вид диалоговой панели Переменные среды (Environment variables), открывающей доступ к переменным окружения операционной системы Windows
Рис. 5. Вид диалоговой панели Переменные среды (Environment variables), открывающей доступ к переменным окружения операционной системы Windows

Для создания новой переменной окружения следует во встроенной панели Системные переменные (System variables) (рис. 5) нажать кнопку Создать (New). При этом на экране появится диалоговая панель с заголовком Новая системная переменная (New system variable), вид которой изображен на рис. 6.

Вид диалоговой панели Новая системная переменная (New system variable), предназначенной для создания новой переменной окружения операционной системы Windows
Рис. 6. Вид диалоговой панели Новая системная переменная (New system variable), предназначенной для создания новой переменной окружения операционной системы Windows

В соответствующих полях редактирования этой диалоговой панели необходимо указать идентификатор создаваемой переменной и ее значение. Затем нажатием кнопки ОК в диалоговой панели Новая системная переменная (New system variable) следует подтвердить указанные параметры создаваемой переменной. После этого идентификатор новой переменной и ее значение будут отражены в таблице, представленной во встроенной панели Системные переменные (System variables) (рис. 5). Процесс создания новой переменной завершается нажатием кнопки ОК, которая расположена в нижней части диалоговой панели Переменные среды (Environment variables).

VHDL-описание генератора тестового сигнала, выполненного на основе цифрового модуля управления синхронизацией DCM, имеет следующий вид:

Приведенное описание соответствует типовой структуре модуля исходного описания проекта на языке VHDL. В его начале представлены ссылки на те же библиотеки и пакеты, что и в рассмотренном модуле исходного описания верхнего уровня иерархии проекта измерителя частоты. Далее следует объявление объекта dcm_fixed_osc, который представляет рассматриваемый генератор. В состав интерфейса этого объекта входят два порта: входной и выходной. Для обозначения входа сигнала запуска используется идентификатор kick_start. Выход сигнала, формируемого рассматриваемым тестовым генератором, описывается в виде выходного порта clk_out. В блоке описания архитектуры объекта dcm_fixed_osc выполняется декларация компонента DCM_SPAR3_TEST и создается экземпляр этого компонента, который образует основу рассмотренного генератора.

При выполнении процессов синтеза, размещения и трассировки в кристалле проекта, содержащего компонент DCM_SPAR3_TEST, в САПР серии Xilinx ISE появляется несколько предупреждений, на которые, впрочем, не следует обращать внимание.

VHDL-описание генератора тестового сигнала с частотой 118 МГц, используемого в составе измерителя частоты цифровых сигналов

Функциональная схема генератора тестового сигнала с частотой 118 МГц показана на рис. 7. Для реализации этого генератора используются таблицы преобразования LUT, формирующие необходимую задержку и инвертирование сигнала. Кроме того, в состав схемы входит D-триггер, который применяется в качестве делителя частоты на два.

Функциональная схема кольцевого генератора тестового сигнала с частотой 118 МГц
Рис. 7. Функциональная схема кольцевого генератора тестового сигнала с частотой 118 МГц

Описание кольцевого генератора тестового сигнала на языке VHDL выполнено в соответствии с функциональной схемой, представленной на рис. 5. Текст этого описания выглядит следующим образом:

В приведенном описании после ссылок на используемые стандартные логические библиотеки и библиотеку Unisim фирмы Xilinx объявляется объект ring_osc, который представляет рассматриваемый кольцевой генератор тестового сигнала. Интерфейс этого объекта включает входной порт сигнала сброса reset и выходной порт сигнала, формируемого генератором, osc_out. В начале блока описания архитектуры объекта ring_osc приведены выражения декларации внутренних сигналов, которые используются для сопряжения компонентов генератора. После этого следуют выражения, устанавливающие значения необходимых атрибутов. Определение архитектуры рассматриваемого генератора выполнено в виде структурного описания, компонентами которого являются элементы, соответствующие функциональной схеме (рис. 7). Это описание включает в себя операторы создания шести экземпляров компонентов таблиц преобразования и одного экземпляра D-триггера.

Временные и топологические ограничения проекта измерителя частоты цифровых сигналов

Временные ограничения в проекте измерителя частоты цифровых сигналов заданы для цепей основного тактового сигнала и входной цепи измерительного блока. Для цепи основного сигнала синхронизации clk_50mhz временные ограничения устанавливают максимальное значение периода этого сигнала, соответствующее частоте 50 МГц. Ограничения, накладываемые на время распространения сигналов по входной цепи измерительного блока test_clk, соответствуют максимальному значению частоты этих сигналов, равному 200 МГц. Выражения, определяющие временные ограничения для проекта измерителя частоты цифровых сигналов, выглядят следующим образом:

Топологические ограничения рассматриваемого проекта измерителя частоты цифровых сигналов устанавливают соответствие интерфейсных портов (цепей) объекта frequency_counter и номеров выводов ПЛИС с учетом архитектуры инструментального модуля Xilinx Spartan-3E Starter Board версии C. Кроме того, в выражениях топологических ограничений указываются дополнительные параметры интерфейсных портов этого объекта, в частности стандарты ввода/вывода, в соответствии с которыми они должны быть сконфигурированы. Совокупность выражений, определяющих топологические ограничения для проекта измерителя частоты цифровых сигналов, имеет следующий вид:

В начале приведенной последовательности топологических ограничений представлены выражения, осуществляющие привязку цепей внешнего тактового сигнала и входного измеряемого сигнала к выводам ПЛИС, которые подключены на плате инструментального модуля к выходу кварцевого генератора и высокочастотному разъему типа SMA соответственно. Далее следуют выражения, определяющие номера выводов кристалла, сопряженных со светодиодными индикаторами, для выходных цепей сигналов индикации выполнения измерений. В следующем фрагменте сосредоточены ограничения, выполняющие привязку к выводам ПЛИС интерфейсных портов, предназначенных для подключения ЖК-дисплея. Затем приведены выражения, определяющие номера контактов кристалла, на которые должны быть выведены цепи сигналов управления для неиспользуемого модуля Flash-памяти. В заключительной части представлены выражения ограничений для портов управляющих сигналов, в которых указаны выводы кристалла, сопряженные с блоком ползунковых переключателей.

Окончание следует

Литература

  1. Зотов В. Цифровой генератор сигнала с перестраиваемой частотой, реализуемый на базе инструментального комплекта Spartan-3E Starter Kit фирмы Xilinx // Компоненты и технологии. 2006. № 11–12.
  2. Зотов В. Проектирование встраиваемых микропроцессорных систем на основе ПЛИС фирмы Xilinx. М.: Горячая линия — Телеком, 2006.
  3. Зотов В. PicoBlaze — семейство восьмиразрядных микропроцессорных ядер, реализуемых на основе ПЛИС фирмы Xilinx // Компоненты и технологии. 2003. № 4.
  4. Зотов В. Система команд микропроцессорного ядра PicoBlaze, реализуемого на основе ПЛИС семейств Spartan-II, Spartan-IIE, Virtex, Virtex-E // Компоненты и технологии. 2003. № 5.
  5. Зотов В. Особенности микропроцессорного ядра PicoBlaze, предназначенного для применения в проектах, реализуемых на основе ПЛИС семейства Virtex-II // Компоненты и технологии. 2003. № 6.
  6. Зотов В. Особенности микропроцессорного ядра PicoBlaze, предназначенного для применения в проектах, реализуемых на основе ПЛИС семейства CoolRunner-II // Компоненты и технологии. 2003. № 7.
  7. Зотов В. Особенности микропроцессорного ядра PicoBlaze, предназначенного для применения в проектах, реализуемых на основе ПЛИС семейств Spartan-3, Virtex-II и Virtex-IIPRO // Компоненты и технологии. 2005. № 5–6.
  8. Зотов В. MicroBlaze — семейство тридцатидвухразрядных микропроцессорных ядер, реализуемых на основе ПЛИС фирмы Xilinx // Компоненты и технологии. 2003. № 9.
  9. Зотов В. Система команд микропроцессорного ядра MicroBlaze // Компоненты и технологии. 2004. № 1–3.
  10. Зотов В. Организация памяти микропроцессорного ядра MicroBlaze // Компоненты и технологии. 2004. № 5.
  11. Зотов В. Новый инструментальный комплект Spartan-3E Starter Kit для практического освоения методов проектирования встраиваемых микропроцессорных систем на основе ПЛИС семейств FPGA фирмы Xilinx // Компоненты и технологии. 2006. № 10.
  12. Бибило П. Н. Основы языка VHDL. М.: Солон-Р, 2000.
  13. Бибило П. Н. Синтез логических схем с использованием языка VHDL. М.: Солон-Р, 2002.
  14. Уэйкерли Дж. Ф. Проектирование цифровых устройств. Т. 1. М.: Постмаркет, 2002.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *