Симулятор электронных схем с открытым исходным кодом Qucs: основные возможности и основы моделирования

№ 3’2015
PDF версия
В статье представлена легковесная САПР Qucs с открытым исходным кодом (open-source) для моделирования электронных схем. Она разрабатывается международным коллективом специалистов, в который входит и автор. Приведены ключевые характеристики САПР, ее преимущества и недостатки по сравнению с аналогами. Qucs распространяется бесплатно с открытым исходным кодом и по большинству характеристик не уступает коммерческим программным продуктам, а кроме того, отличается возможностью анализа S‑параметров, КСВ, комплексных импедансов и комплексных частотных характеристик. Рассмотрены основы моделирования электронных схем с использованием Qucs, новые функции, добавленные в недавнем выпуске Qucs, и перспективные направления в создании этой системы автоматизированного проектирования.

Введение

На современных предприятиях все чаще используется свободное программное обеспечение (СПО) с открытым исходным кодом (open-source software — OSS). В основном это серверные операционные системы (ОС), серверное программное обеспечение, системы управления базами данных (СУБД) и тому подобное программное обеспечение (ПО). По качеству и уровню техподдержки СПО не уступает проприетарным аналогам. Его применение способствует получению значительного экономического эффекта за счет сокращения расходов при закупке лицензий. Также достигается независимость от транснациональных корпораций, поскольку исходный код СПО контролируется пользователями, что особенно актуально в условиях санкций.

Но переход на СПО возможен не для всех классов ПО. Среди систем автоматизированного проектирования (САПР), как правило, доминируют проприетарные программные продукты. Однако в последние годы у них появились альтернативы. В статье рассматривается open-source-симулятор электронных схем Qucs [1, 2], его ключевые возможности и методика применения. Последнюю полнофункциональную версию Qucs-0.0.18 для всех поддерживаемых ОС можно бесплатно загрузить на сайте разработчика [1].

В настоящее время существует не так уж много САПР open-source. Обычно по функциональным характеристикам они уступают проприетарным аналогам, тем не менее среди САПР для электроники (EDA) есть весьма достойные продукты. К ним относится и симулятор электронных схем Qucs. Название данной САПР — это сокращение от Quite Universal Circuit Simulator (почти универсальный симулятор электронных схем). Как правило, для моделирования электронных схем используется такое проприетарное ПО, как MicroCAP, MultiSim, OrCAD и т. п. Это сложные программные комплексы, стоимость которых превышает несколько тысяч долларов, а Qucs является доступной альтернативой данным программным продуктам. К ее аналогам среди СПО относятся консольные симуляторы электронных схем Ngspice [3], Xyce [4] и Gnucap.

В 2004 году сотрудники Берлинского института высокочастотной техники Michael Margraf и Stefan Jahn [5] начали разработку Qucs. Сейчас к проекту, которым в настоящее время руководят Frans Schreuder и Guilherme Torri [2], подключилась интернациональная команда специалистов. Qucs написан на С++ с использованием фреймворка Qt4, и поэтому является кроссплатформенным и выпущен для ОС Linux, Windows и MacOS. Для достижения наилучших результатов рекомендуется использовать ОС Linux. Текущей версией проекта является 0.0.18. Ведется подготовка к релизу версии 0.0.19. Все желающие могут предложить изменения для исходного кода программы, сообщить об ошибках, запросить реализацию новой функции [2].

 

Возможности Qucs

Qucs предназначен для моделирования цифровых и аналоговых схем во временной и частотной областях от постоянного тока до СВЧ-частот. В противоположность многим современным симуляторам схем, базирующимся на ядре моделирования SPICE, Qucs основан на ядре qucsator оригинальной разработки [5]. Недостатком этого ядра является то, что используется формат списка цепей (netlist), отличный от SPICE. Данный недостаток удается преодолеть при помощи встроенной утилиты конвертирования файлов списка цепей. Ну а преимущество в том, что предусмотрена встроенная возможность моделирования S-параметров и гармонического баланса.

Перечислим доступные виды моделирования:

  1. Моделирование рабочей точки на постоянном токе (DC analysis).
  2. Моделирование в частотной области на переменном токе (AC analysis) с возможностью расчета шумовых напряжений.
  3. Моделирование переходного процесса во временной области (Transient analysis).
  4. Моделирование S-, Z-, Y-, A-параметров (S-parameter analysis) и КСВ с возможностью расчета шумовых напряжений.
  5. Моделирование цифровых схем — временные диаграммы и таблицы истинности.
  6. Гармонический баланс.
  7. Спектральный анализ.
  8. Параметрический анализ (Parameter sweep).

Поддерживаются следующие классы электронных компонентов:

  1. Пассивные RCL-компоненты.
  2. Источники постоянного тока и напряжения, переменного синусоидального напряжения, импульсного напряжения, напряжения произвольной формы, шумового напряжения, управляемые источники напряжения и тока, модулированные источники.
  3. Диоды, диоды Зенера, тиристоры, туннельные диоды.
  4. Биполярные транзисторы.
  5. Полевые транзисторы (JFET, MOSFET, MESFET и СВЧ-транзисторы).
  6. Фотодиоды, фототранзисторы.
  7. Идеальные ОУ.
  8. СВЧ-компоненты: коаксиальные и микро-полосковые линии.
  9. Библиотечные компоненты: транзисторы, диоды и аналоговые микросхемы.
  10. Цифровые компоненты — логические элементы, триггеры, счетчики, регистры, сумматоры, шифраторы, дешифраторы, VHDL-компоненты.
  11. Файловые компоненты: подсхемы, spice-подсхемы, компоненты Verilog, файлы S-параметров.
  12. Виртуальные датчики тока и напряжения.
  13. Математические уравнения. Рассмотрим интерфейс программы.

Главное окно программы показано на рис. 1. В качестве примера смоделирован резонансный усилитель радиочастоты на полевом транзисторе с p-n-переходом (JFET).

Главное окно Qucs и пример моделирования схемы

Рис. 1. Главное окно Qucs и пример моделирования схемы



В программе применен графический интерфейс пользователя со вкладками. Центральную часть окна занимают вкладки, на которых отображаются моделируемые схемы. Возможно одновременно открывать несколько схем, каждая из которых будет расположена на своей вкладке. Компоненты размещаются на схеме методом перетаскивания из левой части окна. Математические уравнения и виды моделирования и уравнения также являются особыми компонентами. Более подробно принципы редактирования схем описаны в документации к программе.

Проприетарными аналогами Qucs являются такие широко известные САПР, как MicroCAP, MultiSim, LTSpice и Proteus. По количеству видов моделирования и возможностям постобработки результатов моделирования с применением математического ПО (Matlab, Octave, Python) Qucs не уступает проприетарным аналогам и даже по отельным характеристикам превосходит их, так как в нем реализована возможность моделирования S-параметров, недоступная аналогам. Для использования в академических целях имеется важная функция экспорта схемы и результатов моделирования в растровые и векторные графические форматы. Но в Qucs отсутствуют такие возможности проприетарных САПР, как моделирование микроконтроллеров и интерактивное моделирование схем в реальном времени. Лидерами в этих областях являются САПР MultiSim и Proteus. На наш взгляд, включение этих возможностей в Qucs не оправдано, поскольку приводит к бесполезному потреблению вычислительных ресурсов персонального компьютера. В настоящее время данные задачи можно решать при помощи внутрисхемных средств отладки без применения моделирования. Таким образом, отсутствующие функции не становятся препятствием к использованию Qucs.

Серьезным ограничением Qucs остается отсутствие возможности цифро-аналогового моделирования. Здесь Qucs уступает лидеру в этой области — MicroCAP. В настоящее время мы работаем над реализацией такой возможности.

Qucs имеет следующие возможности по визуализации данных:

  1. Декартовские диаграммы (2D и 3D).
  2. Диаграммы в полярных координатах.
  3. Диаграммы на комплексной плоскости.
  4. Диаграммы Смита для сопротивлений и проводимостей.
  5. Табличная форма.

Имеется возможность использования маркеров на диаграммах, чтобы получить значение параметра в конкретной точке. Результаты моделирования можно экспортировать в Matlab-совместимую систему численной математики и выполнить там постобработку данных. Рекомендуется применять открытую Matlab-совместимую среду Octave. Возможен экспорт данных в виде CSV (comma separated values).

Формат схемного файла, основанный на XML, позволяет легко создавать утилиты для синтеза схем. В комплекте с Qucs поставляются утилиты для синтеза пассивных фильтров, аттенюаторов, согласованных схем, расчета микрополосковых, коаксиальных линий и волноводов.

Модель широкополосного усилителя высокой частоты в Qucs

Рис. 2. Модель широкополосного усилителя высокой частоты в Qucs

Qucs основан на вновь разработанном движке схемотехнического моделирования, особенностью которого является встроенная возможность моделирования S-параметров и КСВ, что важно для анализа ВЧ-схем. Qucs может пересчитывать S-параметры в Y-и Z-параметры. На рис. 2 и 3 показан пример моделирования S-параметров широкополосного усилителя высокой частоты и построения диаграммы Смита. Использование диаграмм Смита позволяет анализировать параметры в частотной области, степень согласованности схем и устойчивость активных схем. Это особенно важно при проектировании СВЧ-устройств.

Пример различных способов визуализации данных в Qucs: декартовская диаграмма и диаграмма Смита

Рис. 3. Пример различных способов визуализации данных в Qucs: декартовская диаграмма и диаграмма Смита

Пример моделирования с помощью спектрального анализа показан на рис. 4. Смоделирован кольцевой диодный балансный смеситель.

Моделирование спектра напряжения на выходе кольцевого диодного смесителя

Рис. 4. Моделирование спектра напряжения на выходе кольцевого диодного смесителя

Для этого вида моделирования приведем краткое пояснение. Qucs не имеет специального вида моделирования спектра (Fourier Analysis). Вместо этого следует сначала провести моделирование переходного процесса, а затем рассчитать спектр напряжения при помощи математической функции Time2Freq (компонент «Уравнение» на рис. 4). Данная функция выполняет преобразование Фурье и нормировку его результата.

К недостаткам Qucs следует отнести малое количество библиотечных компонентов. Но этот недостаток не становится препятствием к использованию, поскольку Qucs совместим с форматом Spice, в котором приводятся модели электронных компонентов в даташитах. К тому же ядро моделирования работает медленнее, чем аналогичные Spice-совместимые моделировщики — например, MicroCAP (проприетарный) или Ngspice (open-source). Для конвертирования из библиотек SPICE служит встроенная утилита qucsconv.

 

Основы моделирования в Qucs

Рассмотрим подробнее базовые принципы работы с программой и интерфейс. После загрузки Qucs показывается главное окно, которое выглядит примерно как показано на рис. 5.

Главное окно Qucs:

Рис. 5. Главное окно Qucs:
1 — выпадающий список с типами компонентов, видами моделирования и диаграмм;
2 — вкладки с открытыми схемами;
3 — рабочая область;
4 — кнопка запуска моделирования;
5 — кнопка вставки уравнения;
6 — кнопка вставки имени узла;
7 — кнопка вставки проводов;
8 — область выбора компонентов;
9 — кнопка вставки маркера на график;
10 — кнопка перехода в режим выделения компонентов

С правой стороны расположена рабочая область (3), в которой содержатся схемы, документы показа данных и т. д. С помощью вкладок (2) над этой областью можно быстро переключиться на любой документ, открытый в данный момент. С левой стороны главного окна Qucs находится еще одна область (8), чье содержание зависит от состояния вкладок, расположенных слева от нее: «Проекты», «Содержание» и «Компоненты». С помощью выпадающего списка (1), расположенного над областью (8), можно выбирать группы компонентов, которые будут размещаться на схеме. Компоненты размещаются на схеме методом перетаскивания из области (8) на рабочую область (3). Типы моделирования помещаются на схеме, так же как и компоненты. В меню «Справка ->Technical Papers»; «Cnpaвкa->Technical reports» и «Справка->Tutorials» можно найти подробную техническую документацию к программе и документацию по используемым алгоритмам моделирования. Через меню «Файл->Открыть примеры» можно открыть примеры схем.

По щелчку правой кнопки мыши на любом компоненте открывается контекстное меню компонента. По двойному щелчку мыши открывается диалоговое окно свойств компонента, в котором можно задавать, например, сопротивление резисторов, емкость конденсаторов, параметры транзисторов и т. п. Параметры компонентов можно также изменить по щелчку левой кнопкой мыши на значении сопротивления, емкости и т. п. в рабочей области. При этом параметр подсвечивается и с клавиатуры можно напечатать новое значение параметра, а затем нажать клавишу Enter. Для видов моделирования задаются параметры моделирования, в частности отрезок времени для моделирования переходного процесса или диапазон частот при моделировании на переменном токе. Пример установки свойств для компонента и для моделирования показан на рис. 6 и 7.

Установка параметров компонента

Рис. 6. Установка параметров компонента

Установка параметров моделирования

Рис. 7. Установка параметров моделирования

Наименования параметров модели в программе Qucs совпадают с наименованиями параметров в моделях Spice, так как и Spice и Qucs используют одинаковые алгоритмы моделирования транзисторов (модель Гуммеля — Пуна).

Компоненты соединяются с помощью проводов, добавляемых на схему нажатием на кнопку (7), как показано на рис. 5. Схема не будет работать без заземления (GND). Заземление — это особый компонент, который добавляется на схему при помощи кнопки с пиктограммой заземления на панели инструментов.

Выбрав пункт меню «Инструменты ->Библиотека компонентов», можно открыть библиотеку компонентов (рис. 8).

Окно библиотеки компонентов

Рис. 8. Окно библиотеки компонентов

Библиотека содержит готовые модели для различных электронных компонентов (диоды, транзисторы, операционные усилители), которые из окна библиотеки можно перетаскивать на схему.

Рассмотрим подробнее способ запуска моделирования схемы и визуализации результатов. В качестве примера возьмем моделирование сигналов на входе и на выходе транзисторного усилительного каскада. Будем использовать моделирование переходного процесса. Смоделируем отклик транзисторного однокаскадного усилителя низкой частоты, если на его вход подано синусоидальное напряжение амплитудой 200 мВ и частотой 10 кГц.

Сначала собираем схему усилителя (рис. 9). Особенностью этой схемы является то, что двум узлам, соответствующим входу и выходу усилителя, заданы имена in и out соответственно при помощи кнопки (6) на рис. 5. Кроме моделирования переходного процесса для схем, содержащих активные компоненты, нужно обязательно проводить расчет рабочей точки. Для этого поместим на схеме компонент моделирования на постоянном токе.

Пример моделирования однокаскадного транзисторного усилителя

Рис. 9. Пример моделирования однокаскадного транзисторного усилителя

После того как все компоненты размещены на рабочем поле схемы и соединены между собой проводами и перед тем как запустить моделирование, нужно задать параметры моделирования переходного процесса: длительность моделирования и число точек для расчета. Для этого дважды щелкнем на виртуальном компоненте TR1 и в открывшемся окне введем параметры для моделирования переходного процесса (рис. 7). Начало моделирования 0 мс, конец моделирования 1 мс (1 ms) и шаг моделирования 1 мкс. Установка таких параметров обеспечивает моделирование схемы на отрезке времени от включения (0 мс) до 1 мс. Рассчитываются напряжения и токи в компонентах схемы через каждую 1 мкс. Все буквы в обозначениях единиц измерений на рис. 9 строго латинские. Русские буквы не допускаются.

Для того чтобы запомнить параметры моделирования, необходимо в диалоговом окне нажать ОК. После того как мы установили все параметры моделирования, запускаем моделирование нажатием на F2 на клавиатуре или кнопкой моделирования на панели инструментов. Происходит расчет, и после его окончания создается пустая вкладка страницы просмотра (рис. 10). Чтобы посмотреть полученные временные диаграммы напряжений на входе и на выходе усилителя, нужно на этой странице сначала разместить график, а потом установить его параметры.

Размещение на странице просмотра диаграммы

Рис. 10. Размещение на странице просмотра диаграммы

Для того чтобы поместить на странице просмотра график, нужно перетащить на страницу просмотра специальный компонент (рис. 10). После размещения график будет пустой. Можно размещать диаграмму не только на странице просмотра, но и непосредственно на схеме.

Результаты моделирования переходного процесса в Qucs можно наблюдать в виде привычной диаграммы, если выбрать в группе «Диаграммы» декартовский вид отображения данных. Сигналы соответствуют тому, что вы увидели бы на экране осциллографа, если бы проверяли работу схемы на макетной плате.

После того как на странице просмотра размещен график, нужно отобразить на графике нужные кривые. После моделирования создается служебный файл, называемый «Набор данных», где содержатся результаты расчетов. Чтобы добавить на график кривую, следует в свойствах графика выбрать необходимый нам результат из набора данных. Если дважды щелкнуть мышью по графику, помещенному на странице просмотра, откроется окно установки свойств графика (рис. 11).

Установка параметров диаграммы и отображаемых кривых на диаграмме

Рис. 11. Установка параметров диаграммы и отображаемых кривых на диаграмме

В левой части открывшегося окна находятся результаты расчетов. Осциллограммы переходного процесса имеют следующее обозначение: имя_узлаVt (рис. 11). Результаты переходного процесса всегда имеют суффикс .Vt. Соответственно результаты моделирования на переменном токе имеют суффикс .v. Чтобы на графике разместить осциллограммы переходного процесса на входе и на выходе усилителя, нужно дважды щелкнуть по имени результата в левой части окна, и он переместится в правую часть окна. Для просмотра на графике осциллограммы напряжения на входе и на выходе усилителя нужно разместить на нем кривые in.Vt и out.Vt, отмеченные на рис. 11.

В результате на странице просмотра отобразятся осциллограммы напряжений на входе и на выходе усилителя (рис. 12). На графиках размещены маркеры. Маркеры вставляются на кривые на осциллограмме с помощью кнопки (9) в главном окне программы (рис. 5). Маркеры представляют собой аналог курсорных измерений в осциллографе. С их помощью можно узнать, например, напряжение в определенный момент времени.

Результаты моделирования переходного процесса. Маркеры на осциллограммах

Рис. 12. Результаты моделирования переходного процесса. Маркеры на осциллограммах

Итак, мы промоделировали работу одно-каскадного усилителя на биполярном транзисторе. Из осциллограмм напряжения на входе и на выходе усилителя видно, что выходной сигнал находится в противофазе к входному и значительно больше его по амплитуде.

 

Работа с уравнениями на схеме

Важной функцией в Qucs является работа с уравнениями. Применение уравнений позволяет проводить постобработку результатов моделирования встроенными средствами Qucs. В уравнениях можно использовать достаточно большой набор функций, полный список которых можно увидеть, просмотрев разделы встроенной справочной системы (ее вызывают нажатием клавиши F1). В частности, в Qucs встроены такие функции, как преобразования матрицы рассеивания многополюсника в матрицу A-, Y-, Z-параметров.

Рассмотрим использование уравнений на примере моделирования АЧХ усилительного транзисторного каскада. Чтобы промоделировать АЧХ, модифицируем схему, как показано на рис. 13. Добавляем компонент «Моделирование на переменном токе» (AC) и компонент «Уравнение».

Схема усилителя для моделирования на переменном токе

Рис. 13. Схема усилителя для моделирования на переменном токе

Компонент «Уравнение» нужен для того, чтобы вычислить коэффициент усиления усилителя, зная напряжения на его выходе и входе, и затем построить график зависимости коэффициента усиления от частоты. Как известно, частотный коэффициент передачи K(f) определяется как отношение образов сигналов в частотной области по выходу и по входу. Модуль частотного коэффициента передачи называется АЧХ, а фазовый угол — ФЧХ.

Для того чтобы получить зависимость коэффициента усиления от частоты для нашего усилителя, нужно задать уравнение, по которому он будет рассчитываться. Для этого дважды щелкаем мышью по компоненту «Уравнение» и входим в окно редактирования уравнений (рис. 14). Мы пометили узел, являющийся входом схемы, как in, а являющийся выходом схемы, как out. Частотному образу (зависимости напряжения от частоты) напряжения на выходе будет соответствовать выражение out.v, а на входе in.v.

Диалог ввода уравнений

Рис. 14. Диалог ввода уравнений:
1 — поле ввода переменной;
2 — поле ввода выражения

Чтобы получить коэффициент усиления, нужно поделить напряжение на выходе на напряжение на входе. Для этого вводим в окне на рис. 14 в поле ввода 1 символ K, а в поле ввода 2 — выражение dB(out.v/in.v). Функция dB() пересчитывает значение коэффициента усиления в децибелах (дБ). Теперь, когда поля ввода заполнены, нажимаем кнопку «Добавить». Можно переходить к редактированию нового уравнения, по которому будет рассчитываться ФЧХ.

Снова заполняем поля ввода, чтобы задать уравнение для ФЧХ. В поле ввода 1 вводим Ph, а в поле ввода 2 — выражение phase(out.v/in.v). Функция phase() вычисляет аргумент (фазу) комплексного числа в градусах.

После того как уравнения и параметры моделирования заданы, запускаем моделирование нажатием на клавишу F2. Несколько секунд происходит моделирование, и по его окончании можно посмотреть результаты расчета АЧХ и ФЧХ усилителя (рис. 13). Чтобы разместить на диаграмме АЧХ или ФЧХ, нужно выбрать их в диалоге свойств диаграммы (рис. 11).

 

Использование Qucs в учебном и исследовательском процессе

Qucs успешно применяется в учебном процессе на кафедре «Радиоэлектроника и телекоммуникации» в НИУ «Высшая школа экономики» (бывший МИЭМ) и на кафедре «Конструирование и производство электронной аппаратуры» Калужского филиала МГТУ им. Н. Э. Баумана при проведении лабораторных работ для изучения схемотехники аналоговых устройств и в курсовом и дипломном проектировании. Курс лабораторных работ включает моделирование высокочастотных резонансных и широкополосных усилительных каскадов, моделирование схем смесителей и демодуляторов, моделирование S- и Z-параметров активных и пассивных схем, моделирование автогенераторов, моделирование КЧХ (комплексная частотная характеристика) усилительных схем с положительной обратной связью (ПОС).

Возможности Qucs достаточны не только для использования в учебном процессе, но и для проведения научных исследований [7], связанных с моделированием электронных схем. В работе [7] было проведено исследование воздействия ЭСР с печатной платой (Charged board model — CBM ЭСР) на МДП-транзисторы. Весь процесс моделирования выполнен при помощи свободного ПО. Была разработана методика, позволяющая определять порог отказа МДП-транзисторов при воздействии СВМ ЭСР.

В работе [8] было произведено моделирование частотной зависимости полного сопротивления керамических конденсаторов. Для этого использована функция моделирования Z-параметров. Результаты работы позволяют оптимизировать схемотехнику цепей питания цифровых микросхем с учетом частотных параметров развязывающих керамических конденсаторов.

Со списком прочих научных исследований, проведенных при помощи Qucs за рубежом, можно ознакомиться на сайте [1].

 

Перспективные направления в разработке Qucs

В настоящее время автором совместно с коллективом разработчиков проводится работа над возможностью предоставления пользователю выбора движка для моделирования схемы. Можно будет использовать встроенный движок Qucs, Ngspice (spice-совместимый консольный симулятор с открытым кодом) или Xyce (моделировщик с поддержкой параллельных вычислений через OpenMPI). Данная возможность отсутствует в популярном проприетарном ПО для моделирования электронных схем.

В заключение рассмотрим перечень нововведений в недавнем релизе Qucs 0.0.18 и перспективные направления в разработке Qucs:

  1. Реализован экспорт графиков, схем в растровые и векторные форматы: PNG, JPEG, PDF, EPS, SVG, PDF+LaTeX. Эта функция полезна при использовании программы в учебном процессе вузов и при подготовке статей и отчетов, содержащих результаты моделирования.
  2. Добавлена возможность открытия документа схемы из будущей версии программы.
  3. Добавлен встроенный справочник цветовых кодировок резисторов.
  4. Улучшена русская локализация.
  5. Закрыты отчеты об ошибках.
  6. Ведется разработка системы синтеза активных фильтров для Qucs (подготовлена стабильная версия, ожидается в релизе 0.0.19).
  7. Ведется разработка сопряжения с прочими open-source консольными симуляторами электронных схем (Ngspice [3], Xyce [4], Gnucap). В последующих версиях будет добавлена возможность выбора вычислительного ядра для моделирования схемы.

Особое внимание в настоящее время уделяется вопросам реализации сопряжения со Spice-совместимыми ядрами моделирования. Реализация данного направления разработки позволит использовать возможности Ngspice и Xyce для моделирования силовой электроники, схем с импульсной формой напряжений и токов, поскольку Ngspice и Xyce имеют оптимизацию для моделирования таких схем, а встроенное ядро моделирования Qucsator имеет низкую производительность при расчете схем с импульсными воздействиями. Текущий статус разработки можно отследить здесь: https://github.com/Qucs/qucs/issues/77.

 

Заключение

Из вышесказанного видно, что Qucs представляет собой бурно развивающееся программное средство для моделирования электронных схем, позволяющее получать результаты, сопоставимые с коммерческим ПО. Для ряда применений Qucs способен заменить коммерческие симуляторы электронных схем. Можно рекомендовать Qucs учебным заведениям, радиолюбителям, индивидуальным разработчикам РЭА. Важным преимуществом является то, что Qucs распространяется бесплатно. Открытый исходный код, открытая спецификация файлов схем и библиотек и легкая расширяемость делают Qucs наиболее подходящим решением для применения в академических и исследовательских целях. Наличие функции моделирования S-параметров, комплексных входных и выходных сопротивлений и проводимостей, компонентов с распределенными параметрами позволяет использовать Qucs для моделирования устройств ВЧ- и СВЧ-электроники.

Литература
  1. Quite Universal Circuit Simulator. http://qucs.sourcefoige.net /ссылка утрачена/
  2. Репозиторий исходных кодов проекта Qucs. https://github.com/Qucs/qucs
  3. http://ngspice.sourceforge.net
  4. http://xyce.sandia.gov
  5. Brinson M. E., Jahn S. Qucs: A GPL software package for circuit simulation, compact device modelling and circuit macromodelling from DC to RF and beyond // International Journal of Numerical Modelling (IJNM): Electronic Networks, Devices and Fields. 2008. September. Vol. 22, N. 4.
  6. Кузнецов В. В., Крючков Н. М. Qucs: Использование свободного ПО для моделирования электронных схем в учебном процессе / XI конференция разработчиков свободных программ: Тезисы докладов // Калуга, 26-28 сентября 2014 года. М.: Альт Линукс, 2014.
  7. Кузнецов В. В., Кечиев Л. Н. Исследование стойкости печатных узлов к воздействию электростатического разряда // Технологии ЭМС. 2013. № 1.
  8. Кечиев Л. Н., Шатов Д. С. Моделирование в среде Qucs развязывающих конденсаторов в составе распределенной системы питания цифровых модулей // Технологии ЭМС. 2014. № 3 (50).

Комментарии на “Симулятор электронных схем с открытым исходным кодом Qucs: основные возможности и основы моделирования

  1. В схеме есть несколько ОДИНАКОВЫХ реактивностей. Хочу их менять без РАЗВЕРТКИ ПАРАМЕТРА, меняя только один параметр в схеме или формуле. Как?
    Формула: =1000-Rup. Как показать: 1000 — это 1000ом или 1000 — это 1000ком?

    • > Как показать: 1000 — это 1000ом или 1000 — это 1000ком

      Номиналы элементов в схеме имеют суффикс, указывающий на множитель. 1000k означает 1000кОм, а 1000 — 1000 Ом

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *