Стили описания конечных автоматов на языке Verilog

В статье исследуются стили описания конечных автоматов на языке Verilog и рассматривается проблема выбора наилучшего способа описания с точки зрения стоимости реализации и быстродействия конечного автомата. Поставленная задача решается эмпирически путем выполнения большого количества экспериментальных исследований на эталонных примерах конечных автоматов. Предложено семь конструкций языка Veril...

Минимизация конечных автоматов путем использования значений выходных переменных для кодирования внутренних состояний

В статье представлены структурные модели конечных автоматов класса C для автомата Мура и класса D для автомата Мили, которые позволяют использовать значения выходных переменных в качестве кода (части кода) конечного автомата. Показаны способы описания структурных моделей конечных автоматов на языке Verilog, причем способ описания автоматов класса D дан впервые. Исследована эффективность примене...